EHB 205E

From The Emerging Circuits and Computation Group at ITU
(Difference between revisions)
Jump to: navigation, search
(Course Materials)
(Syllabus)
 
(32 intermediate revisions by one user not shown)
Line 2: Line 2:
 
== Announcements ==
 
== Announcements ==
  
* <span style="background:#4682B4; color:#FFFFFF; font-size: 100%;"> Jan. 4th</span> [[Media:ehb205e-2020-fall-hw-02.pdf | '''The second homework''']] has been posted that is due '''29/01/2020''' before 9:30.
+
* <span style="background:#4682B4; color:#FFFFFF; font-size: 100%;"> Jan. 14th</span> To see your grades [[Media:ehb205e-2021-fall-grades.pdf | ''' click here''']].
* <span style="background:#4682B4; color:#FFFFFF; font-size: 100%;"> Jan. 4th</span> To see your grades [[Media:ehb205e-2020-fall-grades.pdf | '''click here''']].
+
 
* <span style="background:#4682B4; color:#FFFFFF; font-size: 100%;"> Nov. 30th</span> [[Media:ehb205e-2020-fall-hw-01.pdf | '''The first homework''']] has been posted that is due '''18/12/2020''' before 9:30.
+
* <span style="background:#4682B4; color:#FFFFFF; font-size: 100%;"> Jan. 3rd</span> [[Media:ehb205e-2021-fall-hw-04.pdf | '''The fourth homework''']] has been posted that is due '''21/1/2022''' before 9:30; submit through Ninova.
* <span style="background:#4682B4; color:#FFFFFF; font-size: 100%;"> Oct. 22nd</span> Lectures start at 9:30 (instead of 8:30).
+
 
* <span style="background:#4682B4; color:#FFFFFF; font-size: 100%;"> Oct. 22nd</span> Lectures are given online using Zoom that can be accessed via [http://ninova.itu.edu.tr/tr/ Ninova].
+
* <span style="background:#4682B4; color:#FFFFFF; font-size: 100%;"> Nov. 3rd</span> [[Media:ehb205e-2021-fall-hw-03.pdf | '''The third homework''']] has been posted that is due '''17/12/2021''' before 9:30; submit through Ninova.
 +
 
 +
* <span style="background:#4682B4; color:#FFFFFF; font-size: 100%;"> Nov. 3rd</span> [[Media:ehb205e-2021-fall-hw-02.pdf | '''The second homework''']] has been posted that is due '''19/11/2021''' before 9:30; submit through Ninova.
 +
 
 +
* <span style="background:#4682B4; color:#FFFFFF; font-size: 100%;"> Oct. 14th</span> [[Media:ehb205e-2021-fall-hw-01.pdf | '''The first homework''']] has been posted that is due '''5/11/2021''' before 9:30.
 +
 
 +
* <span style="background:#4682B4; color:#FFFFFF; font-size: 100%;"> Oct. 7th</span> The class starts at '''9:30''' instead of 8:30.
 +
 
 +
* <span style="background:#4682B4; color:#FFFFFF; font-size: 100%;"> Oct. 7th</span> The class is performed '''physically''' (not virtually).
 +
 
 +
* <span style="background:#4682B4; color:#FFFFFF; font-size: 100%;"> Oct. 7th</span>  The class is given in the room '''4104''' (first floor), EEF.
  
 
== Syllabus ==
 
== Syllabus ==
<div style="font-size: 120%;"> '''EHB 205E: Introduction to Logic Design''', CRN: 11093, Fridays 09:30-11:30, Online using Zoom via Ninova, Fall 2020. </div>  
+
<div style="font-size: 120%;"> '''EHB 205E: Introduction to Logic Design''', CRN: 10665, Fridays 8:30-11:30, Room: 4104 (EEF), Fall 2021. </div>
 
{| border="1" cellspacing="0" cellpadding="5" " width="80%"
 
{| border="1" cellspacing="0" cellpadding="5" " width="80%"
 
+
 
| style="width: 20%;"|
 
| style="width: 20%;"|
  
 
<div style="font-size: 120%;"> '''Instructor'''</div>
 
<div style="font-size: 120%;"> '''Instructor'''</div>
         ||  
+
         ||
 
[[Mustafa Altun]]
 
[[Mustafa Altun]]
 
* Email: altunmus@itu.edu.tr
 
* Email: altunmus@itu.edu.tr
 
* Tel: 02122856635
 
* Tel: 02122856635
 
* Office hours: 15:00 – 16:00 on Wednesdays in Room:3005, EEF (or stop by my office any time)
 
* Office hours: 15:00 – 16:00 on Wednesdays in Room:3005, EEF (or stop by my office any time)
|-  
+
|-
 
| <div style="font-size: 120%;"> '''Teaching Assistant'''</div>
 
| <div style="font-size: 120%;"> '''Teaching Assistant'''</div>
         ||  
+
         ||
 
Emre Altuner
 
Emre Altuner
 
* Email: altuner16@itu.edu.tr
 
* Email: altuner16@itu.edu.tr
* Room: 3107 EEF  
+
* Room: 3107 EEF
|-  
+
|-
 
|  <div style="font-size: 120%;"> '''Grading'''</div>
 
|  <div style="font-size: 120%;"> '''Grading'''</div>
         ||  
+
         ||
  
* Quizzes: '''60%'''
+
* Quizzes: '''10%'''
** 4 quizzes (15% each) during the lecture time that will on '''13/11/2020''', '''4/12/2020''', '''25/12/2020''', and '''22/1/2021'''.
+
** 2 pop-up quizzes (5% each) - '''no''' prior announcement of quiz dates and times
  
 
* Homeworks: '''10%'''
 
* Homeworks: '''10%'''
** 2 homeworks (5% each)
+
** 4 homeworks (2.5% each)
 +
 
 +
* Midterm Exams: '''40%'''
 +
** 2 midterms (20% each) during the lecture time that will on '''19/11/2021''' and '''24/12/2021'''.
  
* Final Exam: '''30%'''
+
* Final Exam: '''40%'''
 
|-
 
|-
 
|  <div style="font-size: 120%;"> '''Textbook'''</div>
 
|  <div style="font-size: 120%;"> '''Textbook'''</div>
         ||  
+
         ||
 
* Wakerly, J. F. (20XX). Digital Design Principles & Practices. Prentice Hall.
 
* Wakerly, J. F. (20XX). Digital Design Principles & Practices. Prentice Hall.
  
 
|-
 
|-
 
|  <div style="font-size: 120%;"> '''Reference Books'''</div>
 
|  <div style="font-size: 120%;"> '''Reference Books'''</div>
         ||  
+
         ||
 
* Roth Jr, C., & Kinney, L. (20XX). Fundamentals of logic design. Cengage Learning.
 
* Roth Jr, C., & Kinney, L. (20XX). Fundamentals of logic design. Cengage Learning.
  
Line 51: Line 64:
 
|-
 
|-
 
|  <div style="font-size: 120%;"> '''Policies'''</div>
 
|  <div style="font-size: 120%;"> '''Policies'''</div>
         ||  
+
         ||
  
 
* Homeworks are due at the beginning of class. Late homeworks will be downgraded by '''20%''' for each day passed the due date.
 
* Homeworks are due at the beginning of class. Late homeworks will be downgraded by '''20%''' for each day passed the due date.
 
* Exams are in '''closed-notes''' and '''closed-books''' format.
 
* Exams are in '''closed-notes''' and '''closed-books''' format.
* To be eligible of taking the final exam, your average excluding the final exam should be at least '''50%''' of the class average.
+
* To be eligible of taking the final or the resit exam, you should take both midterms and your midterm average should be at least '''25''' (out of 100).
 
|}
 
|}
  
Line 64: Line 77:
 
| style="width: 20%;"|
 
| style="width: 20%;"|
  
<div style="font-size: 120%;"> '''Date'''</div>  
+
<div style="font-size: 120%;"> '''Date'''</div>
 
|| <div style="font-size: 120%;"> '''Topic'''</div>
 
|| <div style="font-size: 120%;"> '''Topic'''</div>
|-
 
|  Week  1, 23/10/2020      || Introduction
 
|-
 
|  Week  2, 30/10/2020      || Digital logic fundamentals: gates, combinational circuits, Boolean expressions
 
|-
 
|  Week  3, 6/11/2020      || Digital logic fundamentals: truth tables, two-level forms (AND/OR/NAND/NOR), "don't cares"
 
|-
 
|  Weeks 4, 13/11/2020  || QUIZ I 
 
 
|-
 
|-
Weeks 5, 20/11/2020  || Logic minimization: Karnaugh maps, Quine-McCluskey method
+
Week  1, 8/10/2021      || Introduction
 
|-
 
|-
|  Week 6, 27/11/2020      || Combinational circuit design: building blocks (adders, multiplexers, decoders, etc.)
+
|  Week 2, 15/10/2021      || Digital logic fundamentals: gates, combinational circuits, Boolean expressions
|-
+
|  Weeks 7, 4/12/2020  || QUIZ II 
+
 
|-
 
|-
|  Week  8, 11/12/2020    || Combinational circuit design: implementing Boolean and arithmetic operations
+
|  Week  3, 22/10/2021      || Digital logic fundamentals: truth tables, two-level forms (AND/OR/NAND/NOR), "don't cares"
|-  
+
|-
|  Week  9, 18/12/2020     || Sequential circuits: latches & flip-flops
+
|  Weeks 4, 29/10/2021  || HOLIDAY!
|-  
+
|-
|  Weeks 10, 25/12/2020 || QUIZ III
+
|  Weeks 5, 5/11/2021  || Logic minimization: Karnaugh maps, Quine-McCluskey method
|-  
+
|-
|  Week  11, 1/1/2021      || HOLIDAY!
+
|  Week 6, 12/11/2021      || Combinational circuit design: building blocks (adders, multiplexers, decoders, etc.)
|-  
+
|-
|  Week  12, 8/1/2021   || Sequential circuit design: state graphs and tables, modules
+
|  Weeks 7, 19/11/2021  || MIDTERM I
|-  
+
|-
|  Weeks 13, 15/1/2021 || Sequential circuit design: modules, state machines
+
|  Week  8, 26/11/2021    || HOLIDAY, no class
|-  
+
|-
|  Weeks 14, 22/1/2021 || QUIZ IV
+
|  Week  9, 3/12/2021     || Combinational circuit design: implementing Boolean and arithmetic operations
 +
|-
 +
|  Weeks 10, 10/12/2021 || Area-Delay Performance analysis of combinational circuits
 +
|-
 +
|  Week  11, 17/12/2021      || Sequential circuits: latches & flip-flops
 +
|-
 +
|  Week  12, 24/12/2021    || MIDTERM II
 +
|-
 +
|  Weeks 13, 31/12/2021 || Sequential circuit design: state graphs and tables, modules
 +
|-
 +
|  Weeks 14, 7/1/2022 || Sequential circuit design: modules, state machines
 +
|-
 +
|  Weeks 15, 14/1/2022 || Sequential circuit design: modules, state machines
 
|}
 
|}
  
 
== Course Materials ==
 
== Course Materials ==
  
{| border="1" cellspacing="0" cellpadding="4"
+
{| border="1" cellspacing="0" cellpadding="5"
! Homeworks  !! Quizzes & Solutions!! Quizzes & Solutions!! Sample Problems & Solutions  
+
! Homeworks  & Solutions!! Homeworks  & Solutions!! Quizzes & Solutions!! Sample Problems & Solutions !!Exams
|-  
+
|-
| [[Media:ehb205e-2020-fall-hw-01.pdf | Homework 1]] || [[Media:ehb205e-2020-fall-quiz-01.pdf | Quiz 1]] & [[Media:ehb205e-2020-fall-quiz-01-solutions.pdf | Solutions]]   || [[Media:ehb205e-2020-fall-quiz-03.pdf | Quiz 3]] & [[Media:ehb205e-2020-fall-quiz-03-solutions.pdf | Solutions]]   || [[Media:ehb205e-2020-fall-problems-01.pdf | Problem Set 1]] & [[Media:ehb205e-2020-fall-problems-01-solutions.pdf | Solutions]]    
+
| [[Media:ehb205e-2021-fall-hw-01.pdf | Homework 1]] & [[Media:ehb205e-2021-fall-hw-01-solutions.pdf | Solutions]] || [[Media:ehb205e-2021-fall-hw-03.pdf | Homework 3]] & [[Media:ehb205e-2021-fall-hw-03-solutions.pdf | Solutions]] ||[[Media:ehb205e-2021-fall-quiz-01.pdf | Quiz 1]] & [[Media:ehb205e-2021-fall-quiz-01-solutions.pdf | Solutions]]   || [[Media:ehb205e-2021-problems-01.pdf | Problem Set 1]] & [[Media:ehb205e-2021-problems-01-solutions.pdf | Solutions]]  || [[Media:ehb205e-2021-fall-midterm-01.pdf | Midterm 1]] & [[Media:ehb205e-2021-fall-midterm-01-solutions.pdf | Solutions]]
|-  
+
|-
| [[Media:ehb205e-2020-fall-hw-02.pdf | Homework 2]] || [[Media:ehb205e-2020-fall-quiz-02.pdf | Quiz 2]] & [[Media:ehb205e-2020-fall-quiz-02-solutions.pdf | Solutions]]  || [[Media:ehb205e-2020-fall-quiz-04.pdf | Quiz 4]] & [[Media:ehb205e-2020-fall-quiz-04-solutions.pdf | Solutions]]   ||   [[Media:ehb205e-2020-fall-problems-02.pdf | Problem Set 2]] & [[Media:ehb205e-2020-fall-problems-02-solutions.pdf | Solutions]]  
+
| [[Media:ehb205e-2021-fall-hw-02.pdf | Homework 2]] || [[Media:ehb205e-2021-fall-hw-04.pdf | Homework 4]] & [[Media:ehb205e-2021-fall-hw-04-solutions.pdf | Solutions]]  || [[Media:ehb205e-2021-fall-quiz-02.pdf | Quiz 2]] & [[Media:ehb205e-2021-fall-quiz-02-solutions.pdf | Solutions]] || [[Media:ehb205e-2021-problems-02.pdf | Problem Set 2]] & [[Media:ehb205e-2021-problems-02-solutions.pdf | Solutions]]    || [[Media:ehb205e-2021-fall-midterm-02.pdf | Midterm 2]] & [[Media:ehb205e-2021-fall-midterm-02-solutions.pdf | Solutions]]
  
 
|}
 
|}

Latest revision as of 08:52, 24 February 2022

Contents

[edit] Announcements

  • Jan. 3rd The fourth homework has been posted that is due 21/1/2022 before 9:30; submit through Ninova.
  • Nov. 3rd The third homework has been posted that is due 17/12/2021 before 9:30; submit through Ninova.
  • Nov. 3rd The second homework has been posted that is due 19/11/2021 before 9:30; submit through Ninova.
  • Oct. 7th The class starts at 9:30 instead of 8:30.
  • Oct. 7th The class is performed physically (not virtually).
  • Oct. 7th The class is given in the room 4104 (first floor), EEF.

[edit] Syllabus

EHB 205E: Introduction to Logic Design, CRN: 10665, Fridays 8:30-11:30, Room: 4104 (EEF), Fall 2021.
Instructor

Mustafa Altun

  • Email: altunmus@itu.edu.tr
  • Tel: 02122856635
  • Office hours: 15:00 – 16:00 on Wednesdays in Room:3005, EEF (or stop by my office any time)
Teaching Assistant

Emre Altuner

  • Email: altuner16@itu.edu.tr
  • Room: 3107 EEF
Grading
  • Quizzes: 10%
    • 2 pop-up quizzes (5% each) - no prior announcement of quiz dates and times
  • Homeworks: 10%
    • 4 homeworks (2.5% each)
  • Midterm Exams: 40%
    • 2 midterms (20% each) during the lecture time that will on 19/11/2021 and 24/12/2021.
  • Final Exam: 40%
Textbook
  • Wakerly, J. F. (20XX). Digital Design Principles & Practices. Prentice Hall.
Reference Books
  • Roth Jr, C., & Kinney, L. (20XX). Fundamentals of logic design. Cengage Learning.
  • Mano, M. M., & Kime, C. R. (20XX). Logic and Computer Design Fundamentals. Prentice Hall.
Policies
  • Homeworks are due at the beginning of class. Late homeworks will be downgraded by 20% for each day passed the due date.
  • Exams are in closed-notes and closed-books format.
  • To be eligible of taking the final or the resit exam, you should take both midterms and your midterm average should be at least 25 (out of 100).

[edit] Weekly Course Plan

Date
Topic
Week 1, 8/10/2021 Introduction
Week 2, 15/10/2021 Digital logic fundamentals: gates, combinational circuits, Boolean expressions
Week 3, 22/10/2021 Digital logic fundamentals: truth tables, two-level forms (AND/OR/NAND/NOR), "don't cares"
Weeks 4, 29/10/2021 HOLIDAY!
Weeks 5, 5/11/2021 Logic minimization: Karnaugh maps, Quine-McCluskey method
Week 6, 12/11/2021 Combinational circuit design: building blocks (adders, multiplexers, decoders, etc.)
Weeks 7, 19/11/2021 MIDTERM I
Week 8, 26/11/2021 HOLIDAY, no class
Week 9, 3/12/2021 Combinational circuit design: implementing Boolean and arithmetic operations
Weeks 10, 10/12/2021 Area-Delay Performance analysis of combinational circuits
Week 11, 17/12/2021 Sequential circuits: latches & flip-flops
Week 12, 24/12/2021 MIDTERM II
Weeks 13, 31/12/2021 Sequential circuit design: state graphs and tables, modules
Weeks 14, 7/1/2022 Sequential circuit design: modules, state machines
Weeks 15, 14/1/2022 Sequential circuit design: modules, state machines

[edit] Course Materials

Homeworks & Solutions Homeworks & Solutions Quizzes & Solutions Sample Problems & Solutions Exams
Homework 1 & Solutions Homework 3 & Solutions Quiz 1 & Solutions Problem Set 1 & Solutions Midterm 1 & Solutions
Homework 2 Homework 4 & Solutions Quiz 2 & Solutions Problem Set 2 & Solutions Midterm 2 & Solutions
Personal tools
Namespaces

Variants
Actions
ECC
ECC (In Turkish)
Toolbox