EHB 205E

From The Emerging Circuits and Computation Group at ITU
(Difference between revisions)
Jump to: navigation, search
(Announcements)
(Announcements)
(28 intermediate revisions by one user not shown)
Line 2: Line 2:
 
== Announcements ==
 
== Announcements ==
  
* <span style="background:#4682B4; color:#FFFFFF; font-size: 100%;"> Dec. 3th</span> [[Media:ehb205e-2018-fall-hw-03.pdf | '''The third homework''']] has been posted that is due '''18/12/2018''' before 13:30.
+
* <span style="background:#4682B4; color:#FFFFFF; font-size: 100%;"> Jan. 15th</span> Final letter grades have been posted.
* <span style="background:#4682B4; color:#FFFFFF; font-size: 100%;"> Dec. 2nd</span> To see your grades [[Media:ehb205e-2018-fall-final-grades.pdf | '''click here''']].
+
* <span style="background:#4682B4; color:#FFFFFF; font-size: 100%;"> Dec. 17th</span> Deadline of [[Media:ehb205e-2019-fall-hw-03.pdf | '''the third homework''']] has been extended to '''27/12/2019''' before 12:30.
* <span style="background:#4682B4; color:#FFFFFF; font-size: 100%;"> Nov. 13th</span> [[Media:ehb205e-2018-fall-hw-02.pdf | '''The second homework''']] has been posted that is due '''27/11/2018''' before 13:30.
+
* <span style="background:#4682B4; color:#FFFFFF; font-size: 100%;"> Dec. 17th</span> [[Media:ehb205e-2019-fall-hw-04.pdf | '''The fourth homework''']] has been posted that is due '''27/12/2019''' before 12:30.
* <span style="background:#4682B4; color:#FFFFFF; font-size: 100%;"> Oct. 2nd</span> [[Media:ehb205e-2018-fall-hw-01.pdf | '''The first homework''']] has been posted that is due '''16/10/2018''' before 13:30.
+
* <span style="background:#4682B4; color:#FFFFFF; font-size: 100%;"> Dec. 9th</span> [[Media:ehb205e-2019-fall-hw-03.pdf | '''The third homework''']] has been posted that is due '''24/12/2019''' before 12:30.
* <span style="background:#4682B4; color:#FFFFFF; font-size: 100%;"> Sept. 30th</span>  The class is given in the room '''5202''' (second floor), EEF.
+
* <span style="background:#4682B4; color:#FFFFFF; font-size: 100%;"> Nov. 11th</span> [[Media:ehb205e-2019-fall-hw-02.pdf | '''The second homework''']] has been posted that is due '''26/11/2019''' before 12:30.
 +
* <span style="background:#4682B4; color:#FFFFFF; font-size: 100%;"> Sep. 25th</span> [[Media:ehb205e-2019-fall-hw-01.pdf | '''The first homework''']] has been posted that is due '''8/10/2019''' before 12:30.
 +
* <span style="background:#4682B4; color:#FFFFFF; font-size: 100%;"> Sept. 14th</span>  The class is given in the room '''4102''' (first floor), EEF.
  
 
== Syllabus ==
 
== Syllabus ==
<div style="font-size: 120%;"> '''EHB 205E: Introduction to Logic Design''', CRN: 12228, Tuesdays 13:30-16:30, Room: 5202 (EEF), Fall 2018. </div>  
+
<div style="font-size: 120%;"> '''EHB 205E: Introduction to Logic Design''', CRN: 11101, Tuesdays 12:30-15:30, Room: 4102 (EEF), Fall 2019. </div>  
 
{| border="1" cellspacing="0" cellpadding="5" " width="80%"
 
{| border="1" cellspacing="0" cellpadding="5" " width="80%"
 
    
 
    
Line 23: Line 25:
 
| <div style="font-size: 120%;"> '''Teaching Assistant'''</div>
 
| <div style="font-size: 120%;"> '''Teaching Assistant'''</div>
 
         ||  
 
         ||  
Ensar Vahapoğlu
+
Emre Altuner
* Email: ensarvahapoglu@gmail.com
+
* Email: altuner16@itu.edu.tr
* Room: 3007 EEF  
+
* Room: 3107 EEF  
 
|-  
 
|-  
 
|  <div style="font-size: 120%;"> '''Grading'''</div>
 
|  <div style="font-size: 120%;"> '''Grading'''</div>
Line 37: Line 39:
  
 
* Midterm Exams: '''40%'''
 
* Midterm Exams: '''40%'''
** 2 midterms (20% each) during the lecture time that will on '''30/10/2018''' and '''4/12/2018'''.
+
** 2 midterms (20% each) during the lecture time that will on '''22/10/2019''' and '''3/12/2019'''.
  
 
* Final Exam: '''40%'''
 
* Final Exam: '''40%'''
Line 58: Line 60:
 
* Homeworks are due at the beginning of class. Late homeworks will be downgraded by '''20%''' for each day passed the due date.
 
* Homeworks are due at the beginning of class. Late homeworks will be downgraded by '''20%''' for each day passed the due date.
 
* Exams are in '''closed-notes''' and '''closed-books''' format.
 
* Exams are in '''closed-notes''' and '''closed-books''' format.
* To be eligible of taking the final or the resit exam, you should take both midterms and your midterm average should be at least '''25''' (out of 100).
+
* To be eligible of taking the final exam, you should take both midterms and your midterm average should be at least '''25''' (out of 100).
 +
* To pass the course, you should have total of at least '''30''' (out of 100).
 
|}
 
|}
  
Line 70: Line 73:
 
|| <div style="font-size: 120%;"> '''Topic'''</div>
 
|| <div style="font-size: 120%;"> '''Topic'''</div>
 
|-  
 
|-  
|  Week  1, 18/9/2018       || Introduction  
+
|  Week  1, 17/9/2019       || Introduction  
 
|-  
 
|-  
|  Week  2, 25/9/2018       || Digital logic fundamentals: gates, combinational circuits, Boolean expressions  
+
|  Week  2, 24/9/2019       || Digital logic fundamentals: gates, combinational circuits, Boolean expressions  
 
|-  
 
|-  
|  Week  3, 2/10/2018       || Digital logic fundamentals: truth tables, two-level forms (AND/OR/NAND/NOR), "don't cares"  
+
|  Week  3, 1/10/2019       || Digital logic fundamentals: truth tables, two-level forms (AND/OR/NAND/NOR), "don't cares"  
 
|-  
 
|-  
|  Weeks 4, 9/10/2018 || Logic minimization: Karnaugh maps, Quine-McCluskey method
+
|  Weeks 4, 8/10/2019 || Logic minimization: Karnaugh maps, Quine-McCluskey method
 
|-
 
|-
|  Weeks 5, 16/10/2018   || Quine-McCluskey method, binary decision diagrams, hazards
+
|  Weeks 5, 15/10/2019   || Combinational circuit design: building blocks (adders, multiplexers, decoders, etc.)
 
|-
 
|-
|  Week 6, 23/10/2018     || Combinational circuit design: building blocks (adders, multiplexers, decoders, etc.)
+
|  Week 6, 22/10/2019     || MIDTERM I 
 
|-  
 
|-  
|  Weeks 7, 30/10/2018 || MIDTERM I
+
|  Weeks 7, 29/10/2019 || HOLIDAY, no class
 
|-
 
|-
|  Week  8, 6/11/2018   || HOLIDAY, no class  
+
|  Week  8, 5/11/2019   || HOLIDAY, no class
 
|-  
 
|-  
|  Week  9, 13/11/2018     || Combinational circuit design: implementing Boolean and arithmetic operations
+
|  Week  9, 12/11/2019     || Combinational circuit design: implementing Boolean and arithmetic operations
 
|-  
 
|-  
|  Weeks 10, 20/11/2018 || Area-Delay Performance analysis of combinational circuits
+
|  Weeks 10, 19/11/2019 || Area-Delay Performance analysis of combinational circuits
 
|-  
 
|-  
|  Week  11, 27/11/2018     || Sequential circuits: latches & flip-flops
+
|  Week  11, 26/11/2019     || Sequential circuits: latches & flip-flops
 
|-  
 
|-  
|  Week  12, 4/12/2018    || MIDTERM II
+
|  Week  12, 3/12/2019  || MIDTERM II
 
|-  
 
|-  
|  Weeks 13, 11/12/2018 || Sequential circuit design: state graphs and tables, modules
+
|  Weeks 13, 10/12/2019 || Sequential circuit design: state graphs and tables, modules
 
|-  
 
|-  
|  Weeks 14, 18/12/2018 || Sequential circuit design: modules, state machines
+
|  Weeks 14, 17/12/2019 || Sequential circuit design: modules, state machines
 
|-  
 
|-  
|  Weeks 15, 25/12/2018 || Sequential circuit design: modules, state machines
+
|  Weeks 15, 24/12/2019 || Sequential circuit design: modules, state machines
 
|}
 
|}
  
Line 106: Line 109:
 
! Homeworks  & Solutions!! Homeworks  & Solutions!! Quizzes & Solutions!! Sample Problems & Solutions !!Exams  
 
! Homeworks  & Solutions!! Homeworks  & Solutions!! Quizzes & Solutions!! Sample Problems & Solutions !!Exams  
 
|-  
 
|-  
|[[Media:ehb205e-2018-fall-hw-01.pdf | Homework 1]] & [[Media:ehb205e-2018-fall-hw-01-solutions.pdf | Solutions]] || || [[Media:ehb205e-2018-fall-quiz-01.pdf | Quiz 1]] & [[Media:ehb205e-2018-fall-quiz-01-solutions.pdf | Solutions]] ||  [[Media:ehb205e-2018-problems-01.pdf | Problem Set 1]] & [[Media:ehb205e-2018-problems-01-solutions.pdf | Solutions]]  || [[Media:ehb205e-2018-fall-midterm-01.pdf | Midterm 1]]
+
| [[Media:ehb205e-2019-fall-hw-01.pdf | Homework 1]] & [[Media:ehb205e-2019-fall-hw-01-solutions.pdf | Solutions]] || [[Media:ehb205e-2019-fall-hw-03.pdf | Homework 3]]  |[[Media:ehb205e-2019-fall-quiz-01.pdf | Quiz 1]] & [[Media:ehb205e-2019-fall-quiz-01-solutions.pdf | Solutions]] ||  [[Media:ehb205e-2019-problems-01.pdf | Problem Set 1]] & [[Media:ehb205e-2019-problems-01-solutions.pdf | Solutions]]  ||   [[Media:ehb205e-2019-fall-midterm-01.pdf | Midterm 1]]
 
|-  
 
|-  
|[[Media:ehb205e-2018-fall-hw-02.pdf | Homework 2]] & [[Media:ehb205e-2018-fall-hw-02-solutions.pdf | Solutions]] || || [[Media:ehb205e-2018-fall-quiz-02.pdf | Quiz 2]] & [[Media:ehb205e-2018-fall-quiz-02-solutions.pdf | Solutions]]   || [[Media:ehb205e-2018-problems-02.pdf | Problem Set 2]] & [[Media:ehb205e-2018-problems-02-solutions.pdf | Solutions]]  ||  
+
| [[Media:ehb205e-2019-fall-hw-02.pdf | Homework 2]] & [[Media:ehb205e-2019-fall-hw-02-solutions.pdf | Solutions]] || [[Media:ehb205e-2019-fall-hw-04.pdf | Homework 4]]  || [[Media:ehb205e-2019-fall-quiz-02.pdf | Quiz 2]] & [[Media:ehb205e-2019-fall-quiz-02-solutions.pdf | Solutions]] || [[Media:ehb205e-2019-problems-02.pdf | Problem Set 2]] & [[Media:ehb205e-2019-problems-02-solutions.pdf | Solutions]]  || [[Media:ehb205e-2019-fall-midterm-02.pdf | Midterm 2]]
  
 
|}
 
|}

Revision as of 12:14, 15 January 2020

Contents

Announcements

  • Jan. 15th Final letter grades have been posted.
  • Dec. 17th Deadline of the third homework has been extended to 27/12/2019 before 12:30.
  • Dec. 17th The fourth homework has been posted that is due 27/12/2019 before 12:30.
  • Dec. 9th The third homework has been posted that is due 24/12/2019 before 12:30.
  • Nov. 11th The second homework has been posted that is due 26/11/2019 before 12:30.
  • Sep. 25th The first homework has been posted that is due 8/10/2019 before 12:30.
  • Sept. 14th The class is given in the room 4102 (first floor), EEF.

Syllabus

EHB 205E: Introduction to Logic Design, CRN: 11101, Tuesdays 12:30-15:30, Room: 4102 (EEF), Fall 2019.
Instructor

Mustafa Altun

  • Email: altunmus@itu.edu.tr
  • Tel: 02122856635
  • Office hours: 15:00 – 16:00 on Wednesdays in Room:3005, EEF (or stop by my office any time)
Teaching Assistant

Emre Altuner

  • Email: altuner16@itu.edu.tr
  • Room: 3107 EEF
Grading
  • Quizzes: 10%
    • 2 pop-up quizzes (5% each) - no prior announcement of quiz dates and times
  • Homeworks: 10%
    • 4 homeworks (2.5% each)
  • Midterm Exams: 40%
    • 2 midterms (20% each) during the lecture time that will on 22/10/2019 and 3/12/2019.
  • Final Exam: 40%
Textbook
  • Wakerly, J. F. (20XX). Digital Design Principles & Practices. Prentice Hall.
Reference Books
  • Roth Jr, C., & Kinney, L. (20XX). Fundamentals of logic design. Cengage Learning.
  • Mano, M. M., & Kime, C. R. (20XX). Logic and Computer Design Fundamentals. Prentice Hall.
Policies
  • Homeworks are due at the beginning of class. Late homeworks will be downgraded by 20% for each day passed the due date.
  • Exams are in closed-notes and closed-books format.
  • To be eligible of taking the final exam, you should take both midterms and your midterm average should be at least 25 (out of 100).
  • To pass the course, you should have total of at least 30 (out of 100).

Weekly Course Plan

Date
Topic
Week 1, 17/9/2019 Introduction
Week 2, 24/9/2019 Digital logic fundamentals: gates, combinational circuits, Boolean expressions
Week 3, 1/10/2019 Digital logic fundamentals: truth tables, two-level forms (AND/OR/NAND/NOR), "don't cares"
Weeks 4, 8/10/2019 Logic minimization: Karnaugh maps, Quine-McCluskey method
Weeks 5, 15/10/2019 Combinational circuit design: building blocks (adders, multiplexers, decoders, etc.)
Week 6, 22/10/2019 MIDTERM I
Weeks 7, 29/10/2019 HOLIDAY, no class
Week 8, 5/11/2019 HOLIDAY, no class
Week 9, 12/11/2019 Combinational circuit design: implementing Boolean and arithmetic operations
Weeks 10, 19/11/2019 Area-Delay Performance analysis of combinational circuits
Week 11, 26/11/2019 Sequential circuits: latches & flip-flops
Week 12, 3/12/2019 MIDTERM II
Weeks 13, 10/12/2019 Sequential circuit design: state graphs and tables, modules
Weeks 14, 17/12/2019 Sequential circuit design: modules, state machines
Weeks 15, 24/12/2019 Sequential circuit design: modules, state machines

Course Materials

Homeworks & Solutions Homeworks & Solutions Quizzes & Solutions Sample Problems & Solutions Exams
Homework 1 & Solutions Homework 3 Quiz 1 & Solutions Problem Set 1 & Solutions Midterm 1
Homework 2 & Solutions Homework 4 Quiz 2 & Solutions Problem Set 2 & Solutions Midterm 2
Personal tools
Namespaces

Variants
Actions
ECC
ECC (In Turkish)
Toolbox